module gate_array(a, g, y); parameter N = 4; input g; input [N-1:0] a; output [N-1:0] y; assign y = (g==1'b1) ? a : 0; endmodule