module demo(A, B, C, Y); input A; input B; input C; output Y; wire a_or_b; assign a_or_b = A | B; assign Y = a_or_b & (~C); endmodule