module tristate(OUTP, DOUT, OE); output OUTP; input DOUT; input OE ; assign OUTP = (OE==1) ? DOUT : (OE==0) ? 1'bz : 1'bx; endmodule