module mux21_nbit(I0, I1, SEL, Y); parameter N = 2; input [N-1:0] I0, I1; input SEL; output [N-1:0] Y; wor [N-1:0] Y; wire [N-1:0] I0g, I1g; assign Y = (SEL==1) ? I1 : I0; endmodule