module comb_always(a, b, c, y); input a, b, c; output y; reg y; always @(a or b or c) begin if (c==1) y = 0; else if (a==1 || b==1) y = 1; else y = 0; end endmodule